Welcome![Sign In][Sign Up]
Location:
Search - ps2 verilog

Search list

[OtherVerilog_PS2_RS232

Description: 实现PS/2接口与RS-232接口的数据传输, PS/2键盘上按下按键,可以通过RS-232自动传送到主机的串口调试终端上,并在数据接收区显示接收到的字符。 串口调试终端的设置:波特率115200,一个停止位,无校验位。 -The realization of PS/2 interface with RS-232 data interface, PS/2 keyboard to press the button, through RS-232 automatic transmission to the host serial debug terminal and reception area in the data display received characters. Serial debug terminal settings: 115200 baud rate, one stop bit, no parity bit.
Platform: | Size: 1607680 | Author: chalin tong | Hits:

[SCMVerilog_PS2

Description: ps2控制的verilog代码,学习的好资料-ps2 control Verilog code, a good study information
Platform: | Size: 501760 | Author: sdffer343 | Hits:

[Other1

Description: *先后调试了LED,按键,数码管的verilog程序,并在实验板上面调试成功!学习FPGA是一个漫长的过程,但是我必须得坚持!前途光明,道路崎岖! 这次在垃圾堆(我工作台下面的抽屉和柜子,呵呵)里面搜索了一个以前用C8051F020作的一个单片机 最小系统,其中有一个PS2的数字小键盘,于是马上鼓捣了一下,复习了一下PS2传输数据的规则,其实还是比较简单的,所以很快就把程序搞定,并在电路板上运行正常!!! -* Has a debug LED, button, digital control verilog procedures, and debugging in the experiment above board success! FPGA is a long learning process, but I have to insist! A bright future, roads and rugged! In the garbage (I workbench drawers and cabinets below, huh, huh) search inside a previously C8051F020 minimum for a single-chip systems, which have a small number of PS2 keyboard, then immediately鼓捣, then review the PS2 data about the rules, in fact, relatively simple procedures so they get very quickly, and in the normal operation of the circuit board! ! !
Platform: | Size: 5120 | Author: nyw | Hits:

[VHDL-FPGA-VerilogPS2

Description: ps2接口控制程序,采用verilog hdl-ps2 interface control program, using verilog hdl
Platform: | Size: 610304 | Author: joshenls | Hits:

[OtherS7_PS2_RS232

Description: 利用cpld作为cpu控制器将ps2中取得按键值通过串口传送给pc机-cpld verilog ps2 UART
Platform: | Size: 1438720 | Author: wphyl | Hits:

[VHDL-FPGA-VerilogVisio-schemat_blokowy_niezawodno____

Description: ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
Platform: | Size: 38912 | Author: Mat | Hits:

[Otherps2Verilog

Description: 成功使用的PS2 FPGA 模块,有顶层,接收和 发送,产品上能直接应用-PS2 VERILOG
Platform: | Size: 3072 | Author: renjinguo | Hits:

[VHDL-FPGA-VerilogPS2

Description: 用verilog做的PS2键盘接口程序,对verilog学习有很大帮助-Verilog to do with the PS2 keyboard interface program, very helpful on learning verilog
Platform: | Size: 1041408 | Author: wait | Hits:

[VHDL-FPGA-VerilogPS2_Demo

Description: ps2 keyboard verilog
Platform: | Size: 742400 | Author: kin | Hits:

[VHDL-FPGA-Verilogps2andverilog

Description: 仿真已通过验证,并下载到FPGA实验板测试,大家可以下载来学习-Simulation has been validated, and downloaded to the FPGA test board test, you can download to learn ~~~~~
Platform: | Size: 498688 | Author: 谢虎 | Hits:

[VHDL-FPGA-Verilogps2

Description: 除了顶层模块(ps2_key),三个底层模块分别为PS/2传输处理模块(ps2scan)、串口传输模块(my_uart_tx)以及串口波特率选择模块(speed_select)(下面只给出顶层模块和PS/2传输处理模块的verilog代码,串口部分的设计可以参考串口通信设计)。-In addition to top-level module (ps2_key), three low-level modules are PS/2 transmission processing module (ps2scan), serial transmission module (my_uart_tx) and the serial port baud rate selection module (speed_select) (the following is given only to top-level module and PS/2 verilog code for transport processing module, serial part of the design can refer to the serial communication design).
Platform: | Size: 155648 | Author: lishaohui | Hits:

[DSP programPS2

Description: PS2键盘是当今PC机最常用的种类, 基于verilog hdl 语言的ps2 通信代码-PS2 keyboard is today the most common types of PC-based communications verilog hdl code language ps2
Platform: | Size: 33792 | Author: wws | Hits:

[VHDL-FPGA-VerilogPS2_12864_3

Description: ps2接口键盘,控制12864液晶显示,显示温度等-Ps2 interface keyboard, control 12864 LCD display, display temperature, etc
Platform: | Size: 2287616 | Author: 郑海波 | Hits:

[VHDL-FPGA-Verilogps2

Description: FPGA的ps2鼠标键盘接口(NiosII组件),verilog语言编写-Ps2 mouse and keyboard interface to the FPGA (NiosII components), verilog language
Platform: | Size: 2048 | Author: 陈明 | Hits:

[VHDL-FPGA-Verilogps2

Description: verilog写的v5板子ps2测试程序,已测试 可以直接使用-this is a code applied for ps2 in v5
Platform: | Size: 1024 | Author: 谢景磊 | Hits:

[VHDL-FPGA-VerilogPS2

Description: 用VERILOG描述了ps2接口,数据如何通过ps2协议进行传输的-VERILOG description ps2 interface, data, how the ps2 protocol for transmission of
Platform: | Size: 354304 | Author: liu | Hits:

[File Formatps2

Description: verilog PS2键盘解码程序, 之前探讨过PS/2键盘编解码以及数据传输协议,这次自己动手实现了利用FPGA接收键盘编码,然后通过串口传输到PC。做的比较简单,只是通过FPGA把大写字母A-Z转换成相应的ASCII码,只要字母按键被按下,就能在串口调试助手里显示相应大写字母。下面就共享代码吧!   除了顶层模块,三个底层模块分别为PS/2传输处理模块、串口传输模块以及串口波特率选择模块(下面只给出顶层模块和PS/2传输处理模块的verilog代码)。-verilog PS2 Keyboard decoding procedures
Platform: | Size: 1024 | Author: 刘晓青 | Hits:

[VHDL-FPGA-Verilogps2

Description: 用verilog写的PS2的程序,在xilinx开发板上经过验证的,非常好用-PS2 program written in verilog in xilinx development board, very easy to use proven
Platform: | Size: 267264 | Author: 李旭瑞 | Hits:

[VHDL-FPGA-VerilogLCD1602-fpga-verilog

Description: 功能:LCD1602显示屏显示PS2键盘的键值。用verilog编写。-LCD1602 display shows the the PS2 keyboard keys verilog
Platform: | Size: 485376 | Author: 飞飞法 | Hits:

[Special EffectsPS2

Description: PS2键盘实验-识别0-9和A-F 数码管显示值,利用Verilog实现相关的情况。-PS2 keyboard experiment- Identify 0-9 and AF digital tube display values ​ ​ using Verilog related.
Platform: | Size: 478208 | Author: 邓凯 | Hits:
« 1 2 34 5 6 7 8 »

CodeBus www.codebus.net